• Автор темы News
  • Дата начала
  • " /> News - ASML to Ship Multiple High-NA Tools in 2025, Expands Production Capacities | SoftoolStore.de - Софт, Avid Media Composer, Книги. | бесплатные прокси (HTTP, Socks 4, Socks 5)

    News ASML to Ship Multiple High-NA Tools in 2025, Expands Production Capacities

    News

    Команда форума
    Редактор
    Сообщения
    14 164
    Баллы
    358
    Offline
    #1


    ASML began to ship its first High-NA lithography tool to Intel late last year ,and the machine will be fully assembled in Oregon in the coming months. Shipping only a single extreme ultraviolet (EUV) system with a 0.55 numerical aperture lens may not seem like too impressive, but the company aims to ship a much larger number of such devices this year, and further production increases in the coming years.

    ASML did not disclose how many High-NA EUV litho tools it plans to ship this year, but the company has already announced that it had obtained orders for these machines from all leading makers of logic chips (Intel, Samsung Foundry, TSMC) and memory (Micron, Samsung, SK Hynix), and that the total number currently stands between 10 and 20 systems. Essentially, this means that High-NA EUV will be widely used. But the question is when.

    ASML's High-NA EUV Twinscan EXE lithography systems are the company's next-generation flagship production tools that will enable chipmakers to decrease critical dimensions of chips to 8nm in a single exposure, a substantial improvement over 13nm offered by today's Low-NA EUV Twinscan NXE. But that improvement comes at a cost. Each Twinscan EXE costs €350 million ($380 million), which is over two times more than the price of a Twinscan NXE (€170 million, $183 million).

    The steep price tag of the new tools has led to debates on its immediate economic feasibility as it is still possible to print 8nm features using Low-NA tools, albeit using double patterning, which is a more expensive and yield-impacting technique. For example, Intel is expected to insert High-NA EUV lithography into its production flow for its post-18A fabrication process (1.8 nm-class) sometimes in 2026 – 2027, whereas analysts from China Renaissance believe that TSMC only intends to start using these tools for its 1 nm-class production node sometime in 2030. Other industry analysts, like Jeff Koch from Semianalysis, also believe that the broader adoption of these high-cost machines might not occur until it becomes economically sensible, anticipated around 2030-2031.

    Nevertheless, ASML executives, including chief executive Peter Wennink, argue that elimination of double patterning by High-NA EUV machines will provide enough advantages — such as process simplification and potentially shorter production cycle — to deploy them sooner than analysts predict, around 2026-2027.

    Having secured between 10 and 20 orders for the High NA EUV machines, ASML is preparing to increase its production capacity to meet the demand for 20 units annually by 2028. Meanwhile, uncertainties about plance of chipmaker to use High-NA tools in the next two or three years raises concerns about potential overcapacity in the near term as ASML ramps up production.

    Sources: Bloomberg, Reuters
     
    Вверх Снизу